TY - JOUR TI - Deposition, characterization, patterning and mechanistic study of inorganic resists for next-generation nanolithography DO - https://doi.org/doi:10.7282/T35Q4Z8K PY - 2016 AB - The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking (scaling) of components has to a large extent been enabled by the development of micro- and now nano-lithographic techniques. This thesis focuses on one central component of lithography, the resist, which is essentially a thin film that when appropriately exposed enables a pattern to be printed onto a surface. Smaller features require an ever more precisely focused photon, electron or ion beam with which to expose the resist. The likely next generation source of radiation that will enable sub-20nm features to be written will employ extreme ultraviolet radiation (EUV), 92eV (13.5nm). The work discussed here involves a novel class of inorganic resists (including a solution processed Hf-based resist called HafSOx), as the organic resists that have dominated the microlithography industry for the past few decades have approached fundamental scaling limits. In order to maintain the high throughput required by high volume semiconductor manufacturing, metal oxide resists have been proposed and developed to meet the resolution and sensitivity in EUV lithography. One can think of our resists as the nano-lithographic analog to the silver halide film that dominated the photographic print industry for a century. In this thesis, we mainly describe our work on HafSOx, a “first generation” metal oxide EUV resist system. HafSOx thin films can be deposited by spin-coating a mixed solution of HfOCl2, H2O2, and H2SO4. Various materials characterization techniques have been employed to achieve a comprehensive understanding of film composition and structure at both surface and bulk level, as well as a mechanistic understanding of the film radiation chemistry. Taking advantage of the high energy x-rays used in the XPS experiment, we developed an experiment to dynamically monitor the photochemistry within the HafSOx films. Based on this experiment, we found that an insoluble Hf-O-Hf network is eventually formed after film exposure and development by the removal of SOx, OH, and H2O, and the cross-linking of HfxOy nanoparticles. Using photoemission and complementary Raman results, and knowing that both free and bound peroxide co-exist in the precursor solution, we confirmed that there is a specific peroxide stoichiometry needed in the film to chelate to Hf. Sulfate groups were found to act as the spacers between metal oxide nanoparticles to prevent early stage nanoparticle aggregation in the as-deposited films. Too much sulfate sacrifices resist sensitivity, while too little promotes undesired nanoparticle cross-linking during film preparation. In EUV lithography, low energy secondary electron activation had been suggested as a mechanism explaining how film exposure to EUV photons through a mask can result in a patterned film, but this hypothesis lacked experimental evidence. We constructed a low energy electron beam exposure system, exposed HafSOx resists with electrons with energy ranging from 2 eV to 100 eV, and then characterized the film changes after the exposure. Surprisingly, we found electrons with an energy as low as 2 eV can activate the film if given a sufficient electron dose. Electrons with a lower energy require higher doses to fully activate the resist. Our results strongly support the hypothesis that relatively low energy secondary electrons are central in the mechanism responsible for patterning, in this case by interacting with peroxyl species bound to Hf in the films. With the recent arrival of a state-of-art Zeiss-Orion helium ion beam microscope at Rutgers, we also tested the patterning performance of a HafSOx resist with 30 keV He+ ions. (HIBL = helium ion beam lithography). 30 keV He ions were found to be 50-100 more sensitive than 30 keV electrons at patterning HafSOx, and this boost was attributed to the higher stopping power of helium ions compared with electrons. Sub-10 nm critical dimensions were achieved with fairly good line edge roughness (a key metric in assessing lithographic performance). Additionally, Monte Carlo simulations were conducted to compare the ion and electron trajectories in the solid films and to investigate energy loss in the HafSOx films. In summary, a systematic approach has been developed to understand the mechanism behind HafSOx as an EUV resist. Our work helps lead to a more comprehensive mechanistic understanding of how metal oxide EUV photoresists work in general, and suggests ways to optimize their performance. KW - Chemistry and Chemical Biology KW - Nanostructured materials KW - Nanotechnology KW - Nanolithography LA - eng ER -